Model { Name "rlswzps" Version 8.9 SavedCharacterEncoding "windows-1250" GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.313" NumModelReferences 0 NumTestPointedSignals 0 NumProvidedFunctions 0 NumRequiredFunctions 0 NumResetEvents 0 HasInitializeEvent 0 HasTerminateEvent 0 IsExportFunctionModel 0 NumParameterArguments 0 OrderedModelArguments 1 } LogicAnalyzerGraphicalSettings "" LogicAnalyzerPlugin "on" LogicAnalyzerSignalOrdering "" DiagnosticSuppressor "on" SuppressorTable "22 serialization::archive 11 0 6 0 0 0 8 0" SLCCPlugin "on" Description "RLS adaptive noise cancellation\n" ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Active" MaxMDLFileLineLength 120 CloseFcn "clear ans;" LastSavedArchitecture "win64" Object { $PropName "BdWindowsInfo" $ObjectID 1 $ClassName "Simulink.BDWindowsInfo" Object { $PropName "WindowsInfo" $ObjectID 2 $ClassName "Simulink.WindowInfo" IsActive [1] Location [-7.0, -7.0, 1550.0, 840.0] Object { $PropName "ModelBrowserInfo" $ObjectID 3 $ClassName "Simulink.ModelBrowserInfo" Visible [0] DockPosition "Left" Width [50] Height [50] Filter [9] } Object { $PropName "ExplorerBarInfo" $ObjectID 4 $ClassName "Simulink.ExplorerBarInfo" Visible [1] } Array { Type "Simulink.EditorInfo" Dimension 2 Object { $ObjectID 5 IsActive [1] ViewObjType "SimulinkTopLevel" LoadSaveID "0" Extents [1875.0, 831.0] ZoomFactor [1.9123123123123118] Offset [-193.980508008794, 1.6790201005024983] } Object { $ObjectID 6 IsActive [0] ViewObjType "SimulinkSubsys" LoadSaveID "12" Extents [1920.0, 1039.0] ZoomFactor [1.0] Offset [0.0, 0.0] } PropName "EditorsInfo" } Object { $PropName "DockComponentsInfo" $ObjectID 7 $ClassName "Simulink.DockComponentInfo" Type "GLUE2:PropertyInspector" ID "Property Inspector" Visible [0] CreateCallback "" UserData "" Floating [0] DockPosition "Right" Width [512] Height [384] } WindowState "AAAA/wAAAAD9AAAAAgAAAAAAAAC9AAAB+PwCAAAAA/sAAAAWAEQAbwBjAGsAVwBpAGQAZwBlAHQAMwEAAAAxAAAB+AAAA" "AAAAAAA+wAAABYARABvAGMAawBXAGkAZABnAGUAdAA0AAAAAAD/////AAAAAAAAAAD7AAAAUgBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0Ac" "ABvAG4AZQBuAHQALwBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0AcABvAG4AZQBuAHQAAAAAAP////8AAAB4AP///wAAAAEAAAAAAAAAAPwCA" "AAAAfsAAABUAEcATABVAEUAMgA6AFAAcgBvAHAAZQByAHQAeQBJAG4AcwBwAGUAYwB0AG8AcgAvAFAAcgBvAHAAZQByAHQAeQAgAEkAbgBzAHAAZ" "QBjAHQAbwByAAAAAAD/////AAAANAD///8AAAeAAAADiAAAAAEAAAACAAAAAQAAAAL8AAAAAQAAAAIAAAAP/////wAAAAAA/////wAAAAAAAAAA/" "////wEAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/" "////wEAAACR/////wAAAAAAAAAA/////wEAAAEE/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wEAAAGV/////wAAAAAAAAAA/" "////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wEAAAPG/////wAAAAAAAAAA/" "////wEAAAP+/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA" } } HideAutomaticNames on Created "Mon Jun 15 03:26:01 1998" Creator "The MathWorks, Inc." UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "Dominik" ModifiedDateFormat "%" LastModifiedDate "Fri Dec 21 14:27:04 2018" RTWModifiedTimeStamp 467303151 ModelVersionFormat "1.%" ConfigurationManager "none" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines on ShowLineDimensions on ShowPortDataTypes off PortDataTypeDisplayFormat "AliasTypeOnly" ShowEditTimeErrors on ShowEditTimeWarnings on ShowEditTimeAdvisorChecks off ShowPortUnits off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off VariantCondition off ExecutionContextIcon off ShowLinearizationAnnotations on ShowVisualizeInsertedRTB on ShowMarkup on BlockNameDataTip off BlockParametersDataTip on BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off FunctionConnectors off BrowserLookUnderMasks off SimulationMode "normal" VisualizeLoggedSignalsWhenLoggingToFile off PauseTimes "5" NumberOfSteps 1 SnapshotBufferSize 10 SnapshotInterval 10 NumberOfLastSnapshots 0 LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 8 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "rlswzps" Array { Type "Cell" Dimension 1 Cell "rlswzps" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell "" PropName "logAsSpecifiedByModelsSSIDs_" } } ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "oneshot" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect off ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock off ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off OrderedModelArguments on Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 9 Version "1.17.0" DisabledProps [] Description "" Array { Type "Handle" Dimension 9 Simulink.SolverCC { $ObjectID 10 Version "1.17.0" DisabledProps [] Description "" StartTime "0" StopTime "3.5" AbsTol "1e-6" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" EnableMultiTasking on EnableConcurrentExecution off ConcurrentTasks off Solver "FixedStepDiscrete" SolverName "FixedStepDiscrete" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverInfoToggleStatus off IsAutoAppliedInSIP off SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" SampleTimeProperty [] } Simulink.DataIOCC { $ObjectID 11 Version "1.17.0" DisabledProps [] Description "" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints off MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "Dataset" SaveOutput off SaveState off SignalLogging on DSMLogging on InspectSignalLogs off VisualizeSimOutput on StreamToWorkspace off StreamVariableName "streamout" SaveTime off ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "sigsOut" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" LoggingToFile off DatasetSignalFormat "timeseries" LoggingFileName "out.mat" LoggingIntervals "[-inf, inf]" } Simulink.OptimizationCC { $ObjectID 12 Version "1.17.0" Array { Type "Cell" Dimension 8 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "NoFixptDivByZeroProtection" Cell "OptimizeModelRefInitCode" Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } Description "" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on DefaultParameterBehavior "Tunable" UseDivisionForNetSlopeComputation "off" UseFloatMulNetSlope off DefaultUnderspecifiedDataType "double" UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off CachingGlobalReferences off GlobalBufferReuse on StrengthReduction off AdvancedOptControl "" ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" PassReuseOutputArgsThreshold 12 ExpressionDepthLimit 2147483647 LocalBlockOutputs on RollThreshold 5 StateBitsets off DataBitsets off ActiveStateOutputEnumStorageType "Native Integer" ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "on" AccelVerboseBuild off OptimizeBlockOrder "off" OptimizeDataStoreBuffers on BusAssignmentInplaceUpdate on } Simulink.DebuggingCC { $ObjectID 13 Version "1.17.0" Array { Type "Cell" Dimension 1 Cell "UseOnlyExistingSharedCode" PropName "DisabledProps" } Description "" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "none" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "none" InheritedTsInSrcMsg "warning" MultiTaskDSMMsg "warning" MultiTaskCondExecSysMsg "none" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "none" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "warning" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" UseOnlyExistingSharedCode "error" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "error" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" AllowSymbolicDim off RowMajorDimensionSupport off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" SymbolicDimMinMaxWarning "warning" LossOfSymbolicDimsSimulationWarning "warning" LossOfSymbolicDimsCodeGenerationWarning "error" SymbolicDimsDataTypeCodeGenerationDiagnostic "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnreachableExecutionPathDiag "warning" SFUndirectedBroadcastEventsDiag "warning" SFTransitionActionBeforeConditionDiag "warning" SFOutputUsedAsStateInMooreChartDiag "error" SFTemporalDelaySmallerThanSampleTimeDiag "warning" SFSelfTransitionDiag "warning" SFExecutionAtInitializationDiag "none" SFMachineParentedDataDiag "warning" IntegerSaturationMsg "none" AllowedUnitSystems "all" UnitsInconsistencyMsg "warning" AllowAutomaticUnitConversions on UnitDatabase "" } Simulink.HardwareCC { $ObjectID 14 Version "1.17.0" DisabledProps [] Description "" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerLongLong 64 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdBitPerSizeT 32 ProdBitPerPtrDiffT 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdLongLongMode off ProdHWDeviceType "Specified" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerLongLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetBitPerSizeT 32 TargetBitPerPtrDiffT 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetLongLongMode off TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown on ProdEqTarget on UseEmbeddedCoderFeatures on UseSimulinkCoderFeatures on } Simulink.ModelReferenceCC { $ObjectID 15 Version "1.17.0" DisabledProps [] Description "" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" EnableRefExpFcnMdlSchedulingChecks on CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelDependencies "" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 16 Version "1.17.0" DisabledProps [] Description "" SimCustomSourceCode "" SimCustomHeaderCode "" SimCustomInitializer "" SimCustomTerminator "" SimReservedNameArray [] SimUserSources "" SimUserIncludeDirs "" SimUserLibraries "" SimUserDefines "" SimCustomCompilerFlags "" SimCustomLinkerFlags "" SFSimEcho on SimCtrlC on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" SimGenImportedTypeDefs off ModelFunctionsGlobalVisibility "on" CompileTimeRecursionLimit 50 EnableRuntimeRecursion on MATLABDynamicMemAlloc on MATLABDynamicMemAllocThreshold 65536 } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 17 Version "1.17.0" Array { Type "Cell" Dimension 13 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "PortableWordSizes" Cell "GenerateWebview" Cell "GenerateCodeMetricsReport" Cell "GenerateCodeReplacementReport" Cell "GenerateMissedCodeReplacementReport" Cell "GenerateErtSFunction" Cell "CreateSILPILBlock" PropName "DisabledProps" } SystemTargetFile "grt.tlc" HardwareBoard "None" TLCOptions "" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on PackageGeneratedCodeAndArtifacts off PackageName "" TemplateMakefile "grt_default_tmf" PostCodeGenCommand "" Description "" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off RTWBuildHooks [] ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off RTWUseLocalCustomCode off RTWUseSimCustomCode off CustomSourceCode "" CustomHeaderCode "" CustomInclude "" CustomSource "" CustomLibrary "" CustomDefine "" CustomLAPACKCallback "" CustomInitializer "" CustomTerminator "" Toolchain "Automatically locate an installed toolchain" BuildConfiguration "Faster Builds" CustomToolchainOptions [] IncludeHyperlinkInReport off LaunchReport off PortableWordSizes off CreateSILPILBlock "None" CodeExecutionProfiling off CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" CodeProfilingInstrumentation off SILDebugging off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off GenerateMissedCodeReplacementReport off RTWCompilerOptimization "off" ObjectivePriorities [] RTWCustomCompilerOptimizations "" CheckMdlBeforeBuild "Off" SharedConstantsCachingThreshold 1024 Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 18 Version "1.17.0" Array { Type "Cell" Dimension 27 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "IgnoreTestpoints" Cell "InsertPolySpaceComments" Cell "MATLABFcnDesc" Cell "InternalIdentifier" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrModelFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "CustomSymbolStrUtil" Cell "CustomUserTokenString" Cell "ReqsInCode" Cell "CustomSymbolStrEmxType" Cell "CustomSymbolStrEmxFcn" PropName "DisabledProps" } Description "" Comment "" ForceParamTrailComments off GenerateComments on CommentStyle "Auto" IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off OperatorAnnotations off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrModelFcn "$R$N" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" CustomSymbolStrUtil "$N$C" CustomSymbolStrEmxType "emxArray_$M$N" CustomSymbolStrEmxFcn "emx$M$N" CustomUserTokenString "" CustomCommentsFcn "" DefineNamingRule "None" DefineNamingFcn "" ParamNamingRule "None" ParamNamingFcn "" SignalNamingRule "None" SignalNamingFcn "" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InternalIdentifierFile "" InternalIdentifier "Shortened" InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off ReservedNameArray [] } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 19 Version "1.17.0" Array { Type "Cell" Dimension 15 Cell "IncludeMdlTerminateFcn" Cell "GenerateAllocFcn" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "SupportContinuousTime" Cell "PurelyIntegerCode" Cell "SupportNonInlinedSFcns" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "RemoveResetFunc" Cell "ExistingSharedCode" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "RemoveDisableFunc" PropName "DisabledProps" } Description "" TargetFcnLib "ansi_tfl_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "NOT IN USE" TargetLangStandard "C89/C90 (ANSI)" CodeReplacementLibrary "None" UtilityFuncGeneration "Auto" MultiwordTypeDef "System defined" MultiwordLength 2048 GenerateFullHeader on InferredTypesCompatibility off ExistingSharedCode "" GenerateSampleERTMain off GenerateTestInterfaces off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off CodeInterfacePackaging "Nonreusable function" SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on RemoveDisableFunc off RemoveResetFunc off SupportVariableSizeSignals off ParenthesesLevel "Nominal" CastingMode "Nominal" MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant on AutosarCompliant off MDXCompliant off GRTInterface on GenerateAllocFcn off UseToolchainInfoCompliant on GenerateSharedConstants on CoderGroups [] AccessMethods [] LookupTableObjectStructAxisOrder "1,2,3,4,..." UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeMexArgs "" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off MultiInstanceErrorCode "Error" } PropName "Components" } } SlCovCC.ConfigComp { $ObjectID 20 Version "1.17.0" DisabledProps [] Description "Simulink Coverage Configuration Component" Name "Simulink Coverage" CovEnable off CovScope "EntireSystem" CovIncludeTopModel on RecordCoverage off CovPath "/" CovSaveName "covdata" CovCompData "" CovMetricSettings "d" CovFilter "" CovHTMLOptions "" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on CovEnableCumulative on CovSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovSaveOutputData on CovOutputDir "slcov_output/$ModelName$" CovDataFileName "$ModelName$_cvdata" CovShowResultsExplorer on CovReportOnPause on CovModelRefEnable "off" CovModelRefExcluded "" CovExternalEMLEnable off CovSFcnEnable off CovBoundaryAbsTol 1e-05 CovBoundaryRelTol 0.01 CovUseTimeInterval off CovStartTime 0 CovStopTime 0 CovMcdcMode "Masking" } PropName "Components" } Name "Configuration" ExtraOptions "-aExtModeTransport=\"tcpip\" " CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 360, 285, 1240, 915 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 9 } Object { $PropName "DataTransfer" $ObjectID 21 $ClassName "Simulink.GlobalDataTransfer" DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" DefaultExtrapolationMethodBetweenContTasks "None" AutoInsertRateTranBlk [0] } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on HideAutomaticName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } MaskDefaults { SelfModifiable "off" IconFrame "on" IconOpaque "opaque" RunInitForIconRedraw "analyze" IconRotate "none" PortRotate "default" IconUnits "autoscale" } MaskParameterDefaults { Evaluate "on" Tunable "on" NeverSave "off" Internal "off" ReadOnly "off" Enabled "on" Visible "on" ToolTip "on" } BlockParameterDefaults { Block { BlockType ArrayPlot DefaultConfigurationName "dsp.scopes.ArrayPlotBlockSpecification" } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType FromFile FileName "untitled.mat" OutDataTypeStr "Inherit: auto" SampleTime "-1" ExtrapolationBeforeFirstDataPoint "Linear extrapolation" InterpolationWithinTimeRange "Linear interpolation" ExtrapolationAfterLastDataPoint "Linear extrapolation" ZeroCross off } Block { BlockType FromWorkspace VariableName "simulink_input" OutDataTypeStr "Inherit: auto" SampleTime "-1" Interpolate on ZeroCross off OutputAfterFinalValue "Extrapolation" } Block { BlockType ManualSwitch CurrentSetting "1" varsize off SampleTime "-1" } Block { BlockType Scope DefaultConfigurationName "Simulink.scopes.TimeScopeBlockCfg" Floating off } Block { BlockType SpectrumAnalyzer DefaultConfigurationName "spbscopes.SpectrumAnalyzerBlockCfg" } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Terminator } Block { BlockType ToFile Filename "untitled.mat" MatrixName "ans" SaveFormat "Array" Decimation "1" SampleTime "-1" } } System { Name "rlswzps" Location [-7, -7, 1543, 833] Open on PortBlocksUseCompactNotation off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "191" ReportName "simulink-default.rpt" SIDHighWatermark "30" Block { BlockType Constant Name "Enable" SID "1" Position [70, 220, 85, 240] ZOrder -1 OutDataTypeStr "boolean" } Block { BlockType ArrayPlot Name "Filter Taps" SID "21" Ports [1] Position [500, 135, 545, 185] ZOrder 6 ScopeSpecificationString "dsp.scopes.ArrayPlotBlockSpecification('CurrentConfiguration', extmgr.ConfigurationSet" "(extmgr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configurati" "on('Sources','Simulink',false),extmgr.Configuration('Sources','File',false),extmgr.Configuration('Sources','Work" "space',false),extmgr.Configuration('Sources','Streaming',false),extmgr.Configuration('Sources','SPTool',false),e" "xtmgr.Configuration('Sources','SimulinkEvent',false),extmgr.Configuration('Sources','WiredSimulink',true),extmgr" ".Configuration('Visuals','Time Domain',false),extmgr.Configuration('Visuals','Matrix',false),extmgr.Configuratio" "n('Visuals','Spectrum',false),extmgr.Configuration('Visuals','Constellation',false),extmgr.Configuration('Visual" "s','Eye Diagram',false),extmgr.Configuration('Visuals','Video',false),extmgr.Configuration('Visuals','TimeVisual" "',false),extmgr.Configuration('Visuals','BodeVisual',false),extmgr.Configuration('Visuals','PZMapVisual',false)," "extmgr.Configuration('Visuals','NicholsVisual',false),extmgr.Configuration('Visuals','MarginsVisual',false),extm" "gr.Configuration('Visuals','SigmaVisual',false),extmgr.Configuration('Visuals','LinearStepVisual',false),extmgr." "Configuration('Visuals','Array Plot',true,'DisplayPropertyDefaults',struct('MinYLimReal','-0.2','MaxYLimReal','0" ".6','YLabelReal','Filter Coefficients','MinYLimMag','0','MaxYLimMag','10','LegendVisibility','Off','XGrid',true," "'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686" "274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0." "16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.05" "88235294117647 1 1;1 0.0745098039215686 0.650980392156863],'XLabel','Samples'),'SerializedDisplays',{struct('Min" "YLimReal','-0.2','MaxYLimReal','0.6','YLabelReal','Filter Coefficients','MinYLimMag','0','MaxYLimMag','10','Lege" "ndVisibility','Off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274" "509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.6235294" "11764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.7176470" "58823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'XLabel','Samples','" "Title','','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{''}},'NumLines',1,'LineNames',{{'RLS Filter/3'}" "},'ShowContent',true,'Placement',1)},'XOffset','1'),extmgr.Configuration('Tools','Instrumentation Sets',false),e" "xtmgr.Configuration('Tools','Requirement viewer',false),extmgr.Configuration('Tools','Check block zoom',false),e" "xtmgr.Configuration('Tools','Plot Navigation',true,'OnceAtStop',false),extmgr.Configuration('Tools','Measurement" "s',true,'Version','2017a'),extmgr.Configuration('Tools','Image Tool',false),extmgr.Configuration('Tools','Pixel " "Region',false),extmgr.Configuration('Tools','Image Navigation Tools',false)),'Version','2017a','Position',[163.4" " 215.4 411.2 343.2])" NumInputPorts "1" } Block { BlockType SpectrumAnalyzer Name "Freq\nResponse" SID "4" Ports [1] Position [500, 224, 545, 276] ZOrder -4 ScopeSpecificationString "spbscopes.SpectrumAnalyzerBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(ext" "mgr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('" "Sources','WiredSimulink',true),extmgr.Configuration('Visuals','Spectrum',true,'AxesProperties',struct('Color',{[" "0 0 0],[0 0 0]},'XColor',{[0.686274509804 0.686274509804 0.686274509804],[0.686274509804 0.686274509804 0.686274" "509804]},'YColor',{[0.686274509804 0.686274509804 0.686274509804],[0.686274509804 0.686274509804 0.686274509804]" "},'ZColor',{[0.686274509804 0.686274509804 0.686274509804],[0.686274509804 0.686274509804 0.686274509804]},'XGri" "d',{'on','on'},'YGrid',{'on','on'},'ZGrid',{'off','off'},'Box',{'on','on'},'XScale',{'linear','linear'},'YScale'" ",{'linear','linear'},'ZScale',{'linear','linear'},'XDir',{'normal','normal'},'YDir',{'normal','normal'},'ZDir',{" "'normal','normal'},'XTickMode',{'auto','auto'},'YTickMode',{'auto','auto'},'ZTickMode',{'auto','auto'},'XTickLab" "elMode',{'manual','auto'},'YTickLabelMode',{'auto','auto'},'ZTickLabelMode',{'manual','manual'},'XTickLabel',{['" " 0';'100';'200';'300';'400';'500';'600';'700'],{'0','1','2','3','4','5','6','7'}},'ZTickLabel',{'',''},'FontNam" "e',{'Helvetica','Helvetica'},'FontSize',{8.5,8.5},'FontUnits',{'points','points'},'FontWeight',{'normal','normal" "'},'Title',{'',''},'XLabel',{'Frequency (kHz)',''},'YLabel',{'Magnitude-squared (dBW / Hz)',''},'ZLabel',{'',''}" "),'LineProperties',struct('DisplayName','','Color',[1 1 0.0666666666666667],'LineStyle','-','LineWidth',0.5,'Mar" "ker','none','MarkerSize',6,'MarkerEdgeColor','auto','MarkerFaceColor','none','Visible','on'),'YLabel','Magnitude" "-squared','MinYLim','-208.34650328846925','MaxYLim','-111.88541601957614','SpectrumType','Power density','Sample" "Rate','1411200','Span','705600','CenterFrequency','352800','StartFrequency','0','StopFrequency','705600','Spectr" "alAverages','2','FFTLengthSource','Property','FFTLength','256','PowerUnits','dBW','TwoSidedSpectrum',false,'IsSp" "anValuesValid',true,'SegLen','useInputSize','FrequencyResolutionMethod','WindowLength','WindowLength','32'),extm" "gr.Configuration('Tools','Plot Navigation',true),extmgr.Configuration('Tools','Measurements',true,'Version','201" "7a')),'Version','2017a','Position',[463.4 74.6 413.6 343.2])" NumInputPorts "1" } Block { BlockType FromWorkspace Name "From\nWorkspace" SID "25" Position [-10, 53, 55, 77] ZOrder 14 VariableName "ts" SampleTime "1/44100" ZeroCross on Port { PortNumber 1 Name "Input Signal" } } Block { BlockType FromFile Name "From File" SID "22" Position [-150, 68, -85, 92] ZOrder 9 FileName "c:\\users\\dominik\\Desktop\\znieksztalcenie.mat" SampleTime "1" } Block { BlockType ManualSwitch Name "Manual Switch" SID "6" Position [125, 200, 160, 240] ZOrder -6 NamePlacement "alternate" ShowName off CurrentSetting "0" } Block { BlockType ManualSwitch Name "Manual Switch1" SID "7" Position [175, 260, 210, 300] ZOrder -7 NamePlacement "alternate" ShowName off } Block { BlockType Reference Name "Noise" SID "8" Ports [0, 1] Position [0, 132, 70, 168] ZOrder -8 LibraryVersion "1.795" SourceBlock "dspsrcs4/Random\nSource" SourceType "Random Source" SourceProductName "DSP System Toolbox" SourceProductBaseCode "DS" SrcType "Gaussian" NormMethod "Ziggurat" CltLength "12" MinVal "0" MaxVal "1" MeanVal "0" VarVal "1" RepMode "Specify seed" rawSeed "[23341]" IsInherit off SampMode "Discrete" SampTime "1/44100" SampFrame "1" DataType "Double" OutComplex "Real" OutputFrames on } Block { BlockType Reference Name "Noise Filter1" SID "27" Ports [1, 1] Position [-80, 209, -30, 251] ZOrder 16 LibraryVersion "1.360" UserDataPersistent on UserData "DataTag0" SourceBlock "dsparch4/Digital\nFilter Design" SourceType "Digital Filter Design" SourceProductName "DSP System Toolbox" SourceProductBaseCode "DS" ContentPreviewEnabled off mwdsp_browser_bugfix_var off } Block { BlockType Constant Name "Off" SID "10" Position [70, 200, 85, 220] ZOrder -10 NamePlacement "alternate" ShowName off Value "0" OutDataTypeStr "boolean" } Block { BlockType Constant Name "Off1" SID "11" Position [120, 260, 135, 280] ZOrder -11 NamePlacement "alternate" ShowName off Value "0" OutDataTypeStr "boolean" } Block { BlockType Reference Name "RLS Filter" SID "12" Ports [4, 3] Position [260, 115, 375, 205] ZOrder -12 LibraryVersion "1.820" SourceBlock "dspadpt3/RLS Filter" SourceType "RLS filter" SourceProductName "DSP System Toolbox" SourceProductBaseCode "DS" ContentPreviewEnabled off L "32" lambdaflag "Dialog" lambda "1.0" addnparflag on ic "0" delta "0.1" Adapt on resetflag "Either edge" weights on Port { PortNumber 2 Name "Error Signal" } } Block { BlockType Constant Name "Reset" SID "13" Position [120, 280, 135, 300] ZOrder -13 OutDataTypeStr "boolean" } Block { BlockType Scope Name "Results" SID "20" Ports [3] Position [500, 38, 545, 92] ZOrder 1 DefaultConfigurationName "spbscopes.TimeScopeBlockCfg" ScopeSpecificationString "spbscopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extmgr.Con" "figuration('Core','General UI',true,'ShowPlaybackToolbar',false),extmgr.Configuration('Core','Source UI',true),e" "xtmgr.Configuration('Sources','WiredSimulink',true,'PointsPerSignal',50000),extmgr.Configuration('Visuals','Time" " Domain',true,'DisplayLayoutDimensions',[3 1],'DisplayPropertyDefaults',struct('LegendVisibility','Off','XGrid'," "true,'YGrid',true,'AxesColor',[0 0 0],'AxesTickColor',[0.313725490196078 0.313725490196078 0.313725490196078],'Y" "LabelReal','','PlotMagPhase',false),'SerializedDisplays',{struct('MinYLimReal','-1.43852','MaxYLimReal','1.50366" "','YLabelReal','','MinYLimMag','0.00000','MaxYLimMag','1.50366','LegendVisibility','Off','XGrid',true,'YGrid',tr" "ue,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.6862745098039" "22],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.1607843137" "2549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.058823529411" "7647 1 1;1 0.0745098039215686 0.650980392156863],'Title','Input Signal','LinePropertiesCache',{{}},'UserDefinedC" "hannelNames',{{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',1),struct('MinYLimReal','-5.572" "6','MaxYLimReal','5.4902','YLabelReal','','MinYLimMag','0','MaxYLimMag','10','LegendVisibility','Off','XGrid',tr" "ue,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0." "686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353" " 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0" ".0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','Signal + Noise','LinePropertiesCache',{{}" "},'UserDefinedChannelNames',{{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',2),struct('MinYL" "imReal','-1.43544','MaxYLimReal','1.50065','YLabelReal','','MinYLimMag','0','MaxYLimMag','10','LegendVisibility'" ",'Off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.6" "86274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 " "0.411764705882353 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.27" "4509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','Error Signal','LinePrope" "rtiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',3" ")},'InputProcessing','SampleProcessing','TimeUnits','none','TimeRangeSamples','128','TimeRangeFrames','128','Tim" "eAxisLabels','Bottom','SelectedDisplay','3'),extmgr.Configuration('Tools','Plot Navigation',true,'OnceAtStop',fa" "lse,'PreviousAutoscale','XY'),extmgr.Configuration('Tools','Measurements',true,'Version','2017a')),'Version','20" "17a','Position',[1 39.4 1536 750.4])" NumInputPorts "3" } Block { BlockType Scope Name "Scope" SID "26" Ports [1] Position [160, 9, 190, 41] ZOrder 13 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLoggingVariableName','ScopeData1'),extmgr.Configuration('Visuals','Time Domain" "',true,'SerializedDisplays',{struct('MinYLimReal','-1.43852','MaxYLimReal','1.50366','YLabelReal','','MinYLimMag" "','0.00000','MaxYLimMag','1.50366','LegendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'Axes" "Color',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.06666" "66666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.8313" "72549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686" " 0.650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines" "',1,'LineNames',{{'Input Signal'}},'ShowContent',true,'Placement',1)},'DisplayPropertyDefaults',struct('MinYLimR" "eal','-1.43852','MaxYLimReal','1.50366','YLabelReal','','MinYLimMag','0.00000','MaxYLimMag','1.50366','LegendVis" "ibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.68627450980" "3922 0.686274509803922 0.686274509803922],'ColorOrder',[0.0745098039215686 0.623529411764706 1;1 0.4117647058823" "53 0.16078431372549;1 1 0.0666666666666667;0.717647058823529 0.274509803921569 1;0.392156862745098 0.83137254901" "9608 0.0745098039215686;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863])),extmgr.Configuration('T" "ools','Plot Navigation',true),extmgr.Configuration('Tools','Measurements',true,'Version','2017a')),'Version','20" "17a')" NumInputPorts "1" } Block { BlockType Scope Name "Scope1" SID "29" Ports [1] Position [515, 94, 545, 126] ZOrder 18 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLoggingVariableName','ScopeData2'),extmgr.Configuration('Visuals','Time Domain" "',true,'SerializedDisplays',{struct('MinYLimReal','-0.57121','MaxYLimReal','0.84733','YLabelReal','','MinYLimMag" "','0.00000','MaxYLimMag','0.84733','LegendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'Axes" "Color',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.06666" "66666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.8313" "72549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686" " 0.650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines" "',1,'LineNames',{{'Sum2'}},'ShowContent',true,'Placement',1)},'DisplayPropertyDefaults',struct('MinYLimReal','-0" ".57121','MaxYLimReal','0.84733','YLabelReal','','MinYLimMag','0.00000','MaxYLimMag','0.84733','LegendVisibility'" ",'off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.6" "86274509803922 0.686274509803922],'ColorOrder',[0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.160" "78431372549;1 1 0.0666666666666667;0.717647058823529 0.274509803921569 1;0.392156862745098 0.831372549019608 0.0" "745098039215686;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863])),extmgr.Configuration('Tools','P" "lot Navigation',true,'PreviousAutoscale','XY'),extmgr.Configuration('Tools','Measurements',true,'Version','2017a" "')),'Version','2017a','Position',[1 39.4 1536 750.4])" NumInputPorts "1" } Block { BlockType Reference Name "Sine Wave" SID "23" Ports [0, 1] Position [0, 258, 45, 302] ZOrder 15 LibraryVersion "1.795" SourceBlock "dspsrcs4/Sine Wave" SourceType "Sine Wave" SourceProductName "DSP System Toolbox" SourceProductBaseCode "DS" Amplitude "1" Frequency "0.055" Phase "0" SampleMode "Discrete" OutComplex "Real" CompMethod "Trigonometric fcn" TableSize "Speed" SampleTime "0.01" SamplesPerFrame "1" ResetState "Restart at time zero" OutputFrames off additionalParams off allowOverrides on dataType "double" wordLen "16" udDataType "sfix(16)" fracBitsMode "Best precision" numFracBits "15" OutDataTypeStr "double" LastOutDataTypeStr "double" } Block { BlockType Sum Name "Sum1" SID "16" Ports [2, 1] Position [205, 140, 225, 160] ZOrder -16 ShowName off IconShape "round" Inputs "++|" Port { PortNumber 1 Name "Signal + Noise" } } Block { BlockType Sum Name "Sum2" SID "28" Ports [2, 1] Position [475, 100, 495, 120] ZOrder 17 ShowName off IconShape "round" Inputs "-+|" } Block { BlockType Terminator Name "Terminator" SID "17" Position [400, 120, 420, 140] ZOrder -17 ShowName off } Block { BlockType ToFile Name "To File" SID "30" Ports [1] Position [400, 10, 460, 40] ZOrder 19 Filename "po.mat" MatrixName "po" SampleTime "1/44100" } Line { Name "Input Signal" ZOrder 48 Labels [1, 1] SrcBlock "From\nWorkspace" SrcPort 1 Points [86, 0] Branch { ZOrder 46 Points [0, -40] DstBlock "Scope" DstPort 1 } Branch { ZOrder 45 Points [69, 0] Branch { ZOrder 2 Points [0, -20; 261, 0] Branch { ZOrder 54 Points [0, 30; 9, 0] DstBlock "Sum2" DstPort 1 } Branch { ZOrder 53 DstBlock "Results" DstPort 1 } } Branch { ZOrder 3 DstBlock "Sum1" DstPort 1 } } } Line { ZOrder 5 SrcBlock "Noise" SrcPort 1 Points [35, 0] Branch { ZOrder 49 DstBlock "Sum1" DstPort 2 } Branch { ZOrder 44 Points [0, -35; 120, 0; 0, 15] DstBlock "RLS Filter" DstPort 1 } } Line { Name "Signal + Noise" ZOrder 8 SrcBlock "Sum1" SrcPort 1 Points [15, 0] Branch { ZOrder 9 DstBlock "RLS Filter" DstPort 2 } Branch { ZOrder 10 Labels [2, 1] Points [0, -85] DstBlock "Results" DstPort 2 } } Line { ZOrder 12 SrcBlock "RLS Filter" SrcPort 1 DstBlock "Terminator" DstPort 1 } Line { ZOrder 13 SrcBlock "Off" SrcPort 1 DstBlock "Manual Switch" DstPort 1 } Line { ZOrder 14 SrcBlock "Enable" SrcPort 1 DstBlock "Manual Switch" DstPort 2 } Line { ZOrder 15 SrcBlock "Off1" SrcPort 1 DstBlock "Manual Switch1" DstPort 1 } Line { ZOrder 16 SrcBlock "Reset" SrcPort 1 DstBlock "Manual Switch1" DstPort 2 } Line { ZOrder 17 SrcBlock "Manual Switch" SrcPort 1 Points [35, 0; 0, -50] DstBlock "RLS Filter" DstPort 3 } Line { ZOrder 18 SrcBlock "Manual Switch1" SrcPort 1 Points [15, 0; 0, -90] DstBlock "RLS Filter" DstPort 4 } Line { ZOrder 23 SrcBlock "RLS Filter" SrcPort 3 Points [75, 0] Branch { ZOrder 30 Points [0, 60] DstBlock "Freq\nResponse" DstPort 1 } Branch { ZOrder 29 Points [0, -30] DstBlock "Filter Taps" DstPort 1 } } Line { Name "Error Signal" ZOrder 35 Labels [0, 0] SrcBlock "RLS Filter" SrcPort 2 Points [8, 0; 0, -74] Branch { ZOrder 56 Points [-3, 0] DstBlock "To File" DstPort 1 } Branch { ZOrder 55 Points [0, -1; 68, 0] Branch { ZOrder 52 Points [0, 25] DstBlock "Sum2" DstPort 2 } Branch { ZOrder 51 DstBlock "Results" DstPort 3 } } } Line { ZOrder 50 SrcBlock "Sum2" SrcPort 1 DstBlock "Scope1" DstPort 1 } Annotation { SID "18" Name "Adaptive Noise Cancellation Demo" Position [180, 9, 367, 25] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off ZOrder -1 FontName "Arial" FontSize 12 FontWeight "bold" } } } MatData { NumRecords 1 DataRecord { Tag DataTag0 Data " %)30 . V\"( 8 ( @ % \" $ ! 0 % 0 #0 $ !U 7!E0U-(5&%G %-T871I8U)E49A8W1O<@ . 2 8 ( ! % \" $ 8 0 0 & '" "-I9V=U:2YR96UE>F]P=&EO;G-FF5R &YC;V5F9G," " 34%43$%\"(&%R7-/8FI&;&%G !3>7-/8FI087)A;7, 1G)O;49I;'1EUOU9V-T8SS<(_]8]A\"?R]W#_UCV$" ")_+WDL>GS^S?G&\\;#R7OU7:'GZ$3Y&_8QQ$*-&!B3_I'7'X.7.\"/_\\X!S6#" "#'J_,)9;8\"+B<;^R]]J+9/9G/[XTWC\"G!& _B =4$9V]5K\\-+9P\\A A3OPX P !@ @ & 4 ( " " ! D #@ # & \" 8 !0 @ $ \"0 . , 8 " " ( !@ % \" 0 ) X !( !@ @ - 4 ( !0 $ " "! 8 4 W0( ! 0 ( #@ #@ & \" 0 !0 @ ! !@ $ " " $ 8 !D;W5B;&4 X P !@ @ $ 4 ( ! ! #@ # & " " \" 0 !0 @ $ $ . , 8 ( !@ % \" " " 0 ) X P !@ @ ) @ 4 ( 0 $ ! ( 0 #@ # & " "\" 8 !0 @ $ \"0 . , 8 ( \"0( % \" $ ! " " 0 \" $ X P !@ @ ) @ 4 ( 0 $ ! ( 0 #@ # & " " \" D\" !0 @ ! 0 $ @ ! . . 8 ( ! % \" $ ( " " 0 0 \" $1E ( \"P V . " " '-I9V-O9&5G96X ;6-O9&5B=69F97( <')I=E=R87 <')I=DUA>%=I9'1H ! @ " " ! 0 ( # 0 $ 0 " " $ . > 8 ( 0 % \" $ ! 0 . 2 8 ( #0 " " % \" 4 ! 0 & % -T\" 0 $ ! X P !@ @ $ " "4 ( 0 ( ! ! @!O;@ #@ #@ & \" 8 !0 @ ! 0 $ \"0 " "@ ,!20 X X !@ @ & 4 ( 0 $ ! D ( 4 \"H$ . B 8" " ( \"0 % \" $ !8 0 \" 6 !24T #@ $@ & \" ( !0 " " @ ! 0 $ !0 $ < ! !P &AA;F1L90 #@ " } }